A. 现代数字系统常用设计方法有哪些
现代数字系统设计常用设计方法有:自顶向下(Top--down)设计,自低向下(Bottom--up)设计,IP复用技术与SoC(片上系统.
IP核是指完成某种功能的设计模块.分为软核,固核,硬核
B. IP复用是什么意思
就是2个计算机使用同一个ip地址。
解决办法:
1、在每台机的本地连接--属性--常规--internet协议(TCP/IP)--常规里,设置成"自动获取IP地址"
2、在每台机的本地连接--属性--常规--internet协议(TCP/IP)--常规--使用以下IP地址里,把每台机的IP地址都改成不一样的。
C. 现代计算机网络的技术基础有哪些
第1章 计算机网络基础知识
1.1 计算机网络的产生与发展
1.2 计算机网络概述
1.2.1 计算机网络的基本概念
1.2.2 通信子网和资源子网
1.3 计算机网络的功能
1.4 计算机网络的分类和拓扑结构
1.4.1 计算机网络的分类
1.4.2 计算机网络的拓扑结构
1.5 计算机网络的应用
小结
习题1
第2章 数据通信技术
2.1 数据通信的基本概念
2.1.1 信息、数据与信号
2.1.2 模拟信号与数字信号
2.1.3 基带信号与宽带信号
2.1.4 信道及信道的分类
2.1.5 数据通信的技术指标
2.1.6 通信方式
2.2 传输介质的主要特性和应用
2.2.1 传输介质的主要类型
2.2.2 双绞线
2.2.3 同轴电缆
2.2.4 光纤
2.2.5 双绞线、同轴电缆与光纤的性能比较
2.3 无线与卫星通信技术
2.3.1 电磁波谱
2.3.2 无线通信
2.3.3 微波通信
2.3.4 卫星通信
2.4 数据交换技术
2.4.1 电路交换
2.4.2 存储转发交换
2.5 数据传输技术
2.5.1 基带传输技术
2.5.2 频带传输技术
2.5.3 多路复用技术
2.6 数据编码技术
2.6.1 数据编码的类型
2.6.2 数字数据的模拟信号编码
2.6.3 数字数据的数字信号编码
2.6.4 脉冲编码调制
2.7 差错控制技术
2.7.1 差错产生的原因与差错类型
2.7.2 误码率的定义
2.7.3 差错的控制
小结
习题2
第3章 计算机网络体系结构与协议
3.1 网络体系结构与协议概述
3.1.1 网络体系结构的概念
3.1.2 网络协议的概念
3.1.3 网络协议的分层
3.1.4 其他相关概念
3.2 OSI参考模型
3.2.1 OSI参考模型的概念
3.2.2 OSI参考模型各层的功能
3.2.3 OSI参考模型中的数据传输过程
3.3 TCP/IP参考模型
3.3.1 TCP/IP概述
3.3.2 TCP/IP参考模型各层的功能
3.4 OSI参考模型与TCP/IP参考模型
3.4.1 两种模型的比较
3.4.2 OSI参考模型的缺点
3.4.3 TCP/IP参考模型的缺点
3.4.4 网络参考模型的建议
小结
习题3
第4章 局域网
4.1 局域网概述
4.2 局域网的特点及其基本组成
4.3 局域网的主要技术
4.3.1 局域网的传输介质
4.3.2 局域网的拓扑结构
4.3.3 介质访问控制方法
4.4 局域网体系结构与IEEE 802标准
4.4.1 局域网参考模型
4.4.2 IEEE 802局域网标准
4.5 局域网组网技术
4.5.1 传统以太网
4.5.2 IBM令牌环网
4.5.3 交换式以太网
4.6 快速网络技术
4.6.1 快速以太网组网技术
4.6.2 吉比特以太网组网技术
4.6.3 ATM技术
4.7 VLAN
4.7.1 VLAN概述
4.7.2 VLAN的组网方法
4.8 WLAN
4.8.1 WLAN概述
4.8.2 WLAN的实现
4.8.3 WLAN组网实例——家庭无线局域网的组建
小结
习题4
第5章 广域网接入技术
5.1 广域网概述
5.2 常见的广域网接入技术
5.2.1 数字数据网(DDN)
5.2.2 综合业务数字网(ISDN)
5.2.3 宽带综合业务数字网(B-ISDN)
5.2.4 分组交换数据网(PSDN)
5.2.5 帧中继(Frame Relay)
5.2.6 数字用户线路xDSL
小结
习题5
第6章 网络互联技术
6.1 网络互联的基本概念
6.1.1 网络互联概述
6.1.2 网络互联的要求
6.2 网络互联的类型和层次
6.2.1 网络互联的类型
6.2.2 网络互联的层次
6.3 典型网络互连设备
6.3.1 中继器
6.3.2 网桥
6.3.3 网关
6.3.4 路由器
6.4 路由协议
6.4.1 路由信息协议(RIP)
6.4.2 内部路由协议(OSPF)
6.4.3 外部路由协议(BGP)
6.5 路由器的基本配置
6.5.1 路由器的接口
6.5.2 路由器的配置方法
小结
习题6
第7章 Inter基础知识
7.1 Inter的产生和发展
7.1.1 ARPANET的诞生
7.1.2 NSFNET的建立
7.1.3 全球范围Inter的形成与发展
7.2 Inter概述
7.2.1 Inter的基本概念
7.2.2 Inter的特点
7.3 Inter的主要功能与服务
7.3.1 Inter的主要功能
7.3.2 Inter的主要服务
7.4 Inter的结构
7.4.1 Inter的物理结构
7.4.2 Inter协议结构与TCP/IP
7.4.3 客户机/服务器的工作模式
7.5 Inter地址结构
7.5.1 IP地址概述
7.5.2 IP地址的组成与分类
7.5.3 特殊类型的IP地址
7.5.4 IP地址和物理地址的转换
7.6 子网和子网掩码
7.6.1 子网
7.6.2 子网掩码
7.6.3 A类、B类、C类IP地址的标准子网掩码
7.6.4 子网掩码的确定
7.7 域名系统
7.7.1 域名系统的层次命名机构
7.7.2 域名的表示方式
7.7.3 域名服务器和域名的解析过程
7.8 IPv4的应用极其局限性
7.8.1 什么是IPv4
7.8.2 IPv4的应用
7.8.3 IPv4的局限性
7.9 IPv6简介
7.9.1 IPv6的发展历史
7.9.2 IPv4的缺点及IPv6的技术新特性
7.9.3 IPv4与IPv6的共存局面
7.9.4 从IPv4过渡到IPv6的方案
7.9.5 IPv6的应用前景
小结
习题7
第8章 Inter接入技术
8.1 Inter接入概述
8.1.1 接入到Inter的主要方式
8.1.2 ISP
8.2 电话拨号接入Inter
8.2.1 SLIP/PPP概述
8.2.2 Winsock概述
8.3 局域网接入Inter
8.4 ADSL接入技术
8.4.1 ADSL概述
8.4.2 ADSL的主要特点
8.4.3 ADSL的安装
8.4.4 PPP与PPPoE
8.5 Cable Modem接入技术
8.5.1 CATV和HFC
8.5.2 Cable Modem概述
8.5.3 Cable Modem的主要特点
8.6 光纤接入技术
8.6.1 光纤接入技术概述
8.6.2 光纤接入的主要特点
8.7 无线接入技术
8.7.1 无线接入概述
8.7.2 WAP简介
8.7.3 当今流行的无线接入技术
8.8 连通测试
小结
习题8
第9章 Inter的应用
9.1 Inter应用于家庭
9.1.1 家庭用户连入Inter
9.1.2 使用浏览器浏览Inter
9.1.3 家庭娱乐
9.2 Inter应用于电子商务
9.2.1 电子商务及其起源
9.2.2 电子商务的特点
9.2.3 电子商务的内容
9.3 Inter应用所带来的社会问题
9.4 Inter应用的发展趋势与研究热点
小结
习题9
第10章 移动IP与下一代Inter
10.1 移动IP技术
10.1.1 移动IP技术的概念
10.1.2 与移动IP技术相关的几个重要术语
10.1.3 移动IP的工作原理
10.1.4 移动IP技术发展的3个阶段
10.2 第三代Inter与中国
10.2.1 什么是第三代Inter
10.2.2 第三代Inter的主要特点
10.2.3 中国的下一代互联网
小结
习题10
第11章 网络操作系统
11.1 网络操作系统概述
11.1.1 网络操作系统的基本概念
11.1.2 网络操作系统的基本功能
11.1.3 网络操作系统的发展
11.2 Windows NT Server操作系统
11.2.1 Windows NT Server 的发展
11.2.2 Windows NT Server的特点
11.3 Windows 2000 Server操作系统
11.3.1 Windows 2000 Server简介
11.3.2 Windows 2000 Server的特点
11.4 Windows Server 2003操作系统
11.4.1 Windows Server 2003简介
11.4.2 Windows Server 2003的特点
11.5 NetWare操作系统
11.5.1 NetWare操作系统的发展与组成
11.5.2 NetWare操作系统的特点
11.6 UNIX操作系统
11.6.1 UNIX操作系统的发展
11.6.2 UNIX操作系统的特点
11.7 Linux操作系统
11.7.1 Linux操作系统的发展
11.7.2 Linux操作系统的特点
小结
习题11
第12章 网络安全
12.1 网络安全的现状与重要性
12.2 防火墙技术
12.2.1 防火墙的基本概念
12.2.2 防火墙的主要类型
12.2.3 防火墙的主要产品
12.3 网络加密技术
12.3.1 网络加密的主要方式
12.3.2 网络加密算法
12.4 数字证书和数字签名
12.4.1 电子商务安全的现状
12.4.2 数字证书
12.4.3 数字签名
12.5 入侵检测技术
12.5.1 入侵检测的基本概念
12.5.2 入侵检测的分类
12.6 网络防病毒技术
12.6.1 计算机病毒
12.6.2 网络病毒的危害及感染网络病毒的主要原因
12.6.3 网络防病毒软件的应用
12.6.4 网络工作站防病毒的方法
12.7 网络安全技术的发展前景
12.7.1 网络加密技术的发展前景
12.7.2 入侵检测技术的发展趋势
12.7.3 IDS的应用前景
小结
习题12
第13章 网络管理
13.1 网络管理概述
13.1.1 网络管理的基本概念
13.1.2 网络管理体系结构
13.2 网络管理的功能
13.3 MIB
13.3.1 MIB的结构形式
13.3.2 MIB的访问方式
13.4 SNMP
13.4.1 SNMP的发展
13.4.2 SNMP的设计目标
13.4.3 SNMP的工作机制
13.5 网络管理工具
13.5.1 HP Open View
13.5.2 IBM TME 10 NetView
13.5.3 Cisco Works 2000
13.5.4 3Com Transcend
13.6 网络管理技术的发展趋势
小结
习题13
第14章 网络实验
14.1 实验1 理解网络的基本要素
14.2 实验2 双绞线的制作与应用
14.3 实验3 使用“超级终端”进行串行通信
14.4 实验4 网络连接性能的测试
14.5 实验5 组建一个小型对等网
14.6 实验6 服务
14.7 实验7 使用电子邮件
14.8 实验8 DHCP服务器的安装与配置
14.9 实验9 DNS服务器的安装与配置
D. 如何求IP地址与子网掩码
IP地址与子网掩码知识
IP地址与网络分类
(1)IP地址
不同的物理网络技术有不同的编址方式;不同物理网络中的主机,
有不同的物理网络地址。网间技术是将不同物理网络技术统一起来
的高层软件技术。网间技术采用一种全局通用的地址格式,为全网
的每一网络和每一主机都分配一个网间地址,以此屏蔽物理网络地
址的差异。IP协议提供一种全网间通用的地址格式,并在统一管理
下进行地址分配,保证一个地址对应一台网间主机(包括网关),
这样物理地址的差异被IP层所屏蔽。IP层所用到的地址叫做网间地
址,又叫IP地址。它由网络号和主机号两部分组成,统一网络内的
所有主机使用相同的网络号,主机号是唯一的。IP地址是一个32为
的二进制数,分成4个字段,每个字段8位。
(2)三类主要的网络地址
我们知道,从LAN到WAN,不同种类网络规模相差很大,必须区
别对待。因此按网络规模大小,将网络地址分为主要的三类,如下
:
A类:
0 1 2 3 8 16 24
3 1 0网络号主机号
B类:1 0网络号主机号
C类:1 1 0网络号主机号
A类地址用于少量的(最多27个)主机数大于216的大型网,每
个A类网络可容纳最多224台主机;B类地址用于主机数介于28~216
之间数量不多不少的中型网,B类网络最多214个;C类地址用于每个
网络只能容纳28台主机的大量小型网,C类网络最多221个。
除了以上A、B、C三个主类地址外,还有另外两类地址,如下:
D类:1 1 1 0多目地址
E类:1 1 1 1 0留待后用
其中多目地址(multicast address)是比广播地址稍弱的多点
传送地址,用于支持多目传输技术。E类地址用于将来的扩展之用。
(3)TCP/IP规定网络地址
除了一般地标识一台主机外,还有几种具有特殊意义的特殊形
式。
*广播地址
TCP/IP规定,主机号全为“1”的网络地址用于广播之用,叫做
广播地址。所谓广播,指同时向网上所有主机发送报文。
*有限广播
前面提到的广播地址包含一个有效的网络号和主机号,技术上
称为直接广播(directed boradcasting)地址。在网间网上的任何
一点均可向其他任何网络进行直接广播,但直接广播有一个缺点,
就是要知道信宿网络的网络号。
有时需要在本网络内部广播,但又不知道本网络网络号。
TCP/IP规定,32比特全为“1”的网间网地址用于本网广播,该地址
叫做有限广播地址(limited broadcast address)。
*“0”地址
TCP/IP协议规定,各位全为“0”的网络号被解释成“本”网络
。
*回送地址
A类网络地址127是一个保留地址,用于网络软件测试以及本地
机进程间通信,叫做回送地址(loopback address)。无论什么程
序,一旦使用回送地址发送数据,协议软件立即返回之,不进行任
何网络传输。
TCP/IP协议规定,一、含网络号127的分组不能出现在任何网络
上;二、主机和网关不能为该地址广播任何寻径信息。由以上规定
可以看出,主机号全“0”全“1”的地址在TCP/IP协议中有特殊含
义,不能用作一台主机的有效地址。
二、子网掩码
(1)子网TCP/IP网间网技术产生于大型主流机环境中,它能发展到今
天的规模是当初的设计者们始料未及的。网间网规模的迅速扩展对
IP地址模式的威胁并不是它不能保证主机地址的唯一性,而是会带
来两方面的负担:第一,巨大的网络地址管理开销;第二,网关寻
径急剧膨胀。其中第二点尤为突出,寻径表的膨胀不仅会降低网关
寻径效率(甚至可能使寻径表溢出,从而造成寻径故障),更重要
的是将增加内外部路径刷新时的开销,从而加重网络负担。
因此,迫切需要寻求新的技术,以应付网间网规模增长带来的
问题。仔细分析发现,网间网规模的增长在内部主要表现为网络地
址的增减,因此解决问题的思路集中在:如何减少网络地址。于是
IP网络地址的多重复用技术应运而生。
通过复用技术,使若干物理网络共享同一IP网络地址,无疑将
减少网络地址数。
子网编址(subnet addressing)技术,又叫子网寻径
(subnetrouting),英文简称subnetting,是最广泛使用的IP网络
地址复用方式,目前已经标准化,并成为IP地址模式的一部分。
一般的,32位的IP地址分为两部分,即网络号和主机号,我们
分别把他们叫做IP地址的“网间网部分”和“本地部分”。子网编
址技术将本地部分进一步划分为“物理网络”部分和“主机”部分
,如图:
网间网部分物理网络主机
网间网部分.本地部分
其中“物理网络”用于标识同一IP网络地址下的不同物理网络
,既是“子网”。
(2)子网掩码IP协议标准规定:每一个使用子网的网点都选择一
个32位的位模式,若位模式中的某位置1,则对应IP地址中的某位为
网络地址(包括网间网部分和物理网络号)中的一位;若位模式中
的某位置0,则对应IP地址中的某位为主机地址中的一位。例如位模
式:11111111 11111111 11111111 00000000中,前三个字节全1,
代表对应IP地址中最高的三个字节为网络地址;后一个字节全0,代
表对应IP地址中最后的一个字节为主机地址。这种位模式叫做子网
模(subnet mask)或“子网掩码”。
为了使用的方便,常常使用“点分整数表示法”来表示一个IP
地址和子网掩码,例如B类地址子网掩码(11111111 11111111
11111111 00000000)为:
255.255.25.0 IP协议关于子网掩码的定义提供一种有趣的灵活
性,允许子网掩码中的“0”和“1”位不连续。但是,这样的子网
掩码给分配主机地址和理解寻径表都带来一定困难,并且,极少的
路由器支持在子网中使用低序或无序的位,因此在实际应用中通常
各网点采用连续方式的子网掩码。像255.255.255.64和
255.255.255.160等一类的子网掩码不推荐使用。
(3)子网掩码与IP地址子网掩码与IP地址结合使用,可以区分出
一个网络地址的网络号和主机号。
例如:有一个C类地址为:
192.9.200.13其缺省的子网掩码为:
255.255.255.0则它的网络号和主机号可按如下方法得到:
将IP地址192.9.200.13转换为二进制11000000 00001001
11001000 00001101
将子网掩码255.255.255.0转换为二进制11111111 11111111
11111111 00000000
将两个二进制数逻辑与(AND)运算后得出的结果即为网络部分
11000000 00001001 11001000 00001101 AND 11111111 11111111
11111111 00000000 11000000 00001001 11001000 00000000结果为
192.9.200.0,即网络号为192.9.200.0。
将子网掩码取反再与IP地址逻辑与(AND)后得到的结果即为主机部
分11000000 00001001 11001000 00001101 AND 00000000 00000000
00000000 11111111 00000000 00000000 00000000 00001101结果为
0.0.0.13,即主机号为13。
(4)子网掩码与IP地址子网掩码与IP地址结合使用,可以区分出
一个网络地址的网络号和主机号。
例如:有一个C类地址为:
192.9.200.13 其缺省的子网掩码为:
255.255.255.0 则它的网络号和主机号可按如下方法得到:
将IP地址192.9.200.13转换为二进制11000000 00001001
11001000 00001101
将子网掩码255.255.255.0转换为二进制11111111 11111111
11111111 00000000
将两个二进制数逻辑与(AND)运算后得出的结果即为网络部分
11000000 00001001 11001000 00001101 AND 11111111 11111111
11111111 00000000 11000000 00001001 11001000 00000000结果为
192.9.200.0,
即网络号为192.9.200.0。
将子网掩码取反再与IP地址逻辑与(AND)后得到的结果即为主机部
分11000000 00001001 11001000 00001101 AND 00000000 00000000
00000000 11111111 00000000 00000000 00000000 00001101 结果
为0.0.0.13,即主机号为13。
三、子网划分与实例根据以上分析,建议按以下步骤和实例定
义子网掩码。
1、将要划分的子网数目转换为2的m次方。如要分8个子网,
8=23。
2、取上述要划分子网数的2的m次方的幂。如23,即m=3。
3、将上一步确定的幂m按高序占用主机地址m位后转换为十进制
。如m为3 则是11100000,转换为十进制为224,即为最终确定的子
网掩码。如果是C类网,则子网掩码为255.255.255.224;如果是B类
网,则子网掩码为255.255.224.0;如果是C类网,则子网掩码为
255.224.0.0。
在这里,子网个数与占用主机地址位数有如下等式成立:2m=n
。其中,m表示占用主机地址的位数;n表示划分的子网个数。根据
这些原则,将一个C类网络分成4个子网。若我们用的网络号为192.
9.200,则该C类网内的主机IP地址就是192.9.200.1~
192.9.200.254(因为全“0”和全“1”的主机地址有特殊含义,不
作为有效的IP地址),现将网络划分为4个部分,按照以上步骤:
4=22,取22的幂,即2,则二进制为11,占用主机地址的高序位
即为11000000,转换为十进制为192。这样就可确定该子网掩码为:
192.9.200.192,4个子网的IP地址范围分别为:
二进制十进制
11000000 00001001 11001000 00000001 11000000 00001001
11001000 00111110 192.9.200.1
192.9.200.62
11000000 00001001 11001000 01000001 11000000 00001001
11001000 01111110 192.9.200.65
192.9.200.126
11000000 00001001 11001000 10000001 11000000 00001001
11001000 10111110 192.9.200.129
192.9.200.190
11000000 00001001 11001000 11000001 11000000 00001001
11001000 11111110 192.9.200.193
192.9.200.254
在此列出A、B、C三类网络子网数目与子网掩码的转换表,以供
参考。
A类:
子网数目 占用位数 子网掩码 子网中主机数
2 1 255.128.0.0 8,388,606
4 2 255.192.0.0 4,194,302
8 3 255.224.0.0 2,097,150
16 4 255.240.0.0 1,048,574
32 5 255.248.0.0 524,286
64 6 255.252.0.0 262,142
128 7 255.254.0.0 131,070
128 8 255.255.0.0 65,534
B类:
子网数目 占用位数 子网掩码 子网中主机数
2 1 255.255.128.0 32,766
4 2 255.255.192.0 16,382
8 3 255.255.224.0 8,190
16 4 255.255.240.0 4,094
32 5 255.255.248.0 2,046
64 6 255.255.252.0 1,022
128 7 255.255.254.0 510
256 8 255.255.255.0 254
C类:
子网数目 占用位数 子网掩码 子网中主机数
2 1 255.255.255.128 126
4 2 255.255.255.192 62
8 3 255.255.255.224 30
16 4 255.255.255.240 14
32 5 255.255.255.248 6
64 6 255.255.255.252 2
子网掩码和ip地址的关系
子网掩码是用来判断任意两台计算机的IP地址是否属于同一子网络
的根据。
最为简单的理解就是两台计算机各自的IP地址与子网掩码进行AND运
算后,如果得出的结果是相同的,则说明这两台计算机是处于同一
个子网络上的,可以进行直接的通讯。就这么简单。
请看以下示例:
运算演示之一:aa
I P 地址 192.168.0.1
子网掩码 255.255.255.0
AND运算
转化为二进制进行运算:
I P 地址 11010000.10101000.00000000.00000001
子网掩码 11111111.11111111.11111111.00000000
AND运算
11000000.10101000.00000000.00000000
转化为十进制后为:192.168.0.0,运算演示之二:
I P 地址 192.168.0.254,子网掩码 255.255.255.0,AND运算
转化为二进制进行运算:
I P 地址:11010000.10101000.00000000.11111110
子网掩码:11111111.11111111.11111111.00000000
AND运算:11000000.10101000.00000000.00000000
转化为十进制后为:192.168.0.0
运算演示之三:
I P 地址 192.168.0.4,子网掩码 255.255.255.0 ,AND运算,转
化为二进制进行运算:
I P 地址 11010000.10101000.00000000.00000100
子网掩码 11111111.11111111.11111111.00000000
AND运算
11000000.10101000.00000000.00000000
转化为十进制后为:192.168.0.0
通过以上对三组计算机IP地址与子网掩码的AND运算后,我们可
以看到它运算结果是一样的。均为192.168.0.0
所以计算机就会把这三台计算机视为是同一子网络,然后进行
通讯的。我现在单位使用的代理服务器,内部网络就是这样规划的
。
也许你又要问,这样的子网掩码究竟有多少了IP地址可以用呢?你
可以这样算。
根据上面我们可以看出,局域网内部的ip地址是我们自己规定的(
当然和其他的ip地址是一样的),这个是由子网掩码决定的通过对
255.255.255.0的分析。可得出:
前三位IP码由分配下来的数字就只能固定为192.168.0 所以
就只剩下了最后的一位了,那么显而易见了,ip地址只能有(2的8
次方-1),即256-1=255一般末位为0或者是255的都有其特殊的作用
。
那么你可能要问了:如果我的子网掩码不是255.255.255.0呢?你也
可以这样做啊假设你的子网掩码是255.255.128.0
那么你的局域网内的ip地址的前两位肯定是固定的了(什么,为什
么是固定的?你看上边不就明白了吗??#¥)
这样,你就可以按照下边的计算来看看同一个子网内到底能有多少
台机器
1、十进制128 = 二进制1000 0000
2、IP码要和子网掩码进行AND运算
3、I P 地址 00010000.01001001.1*******.********
子网掩码 11111111.11111111.10000000.00000000
AND运算00010000.01001001.10000000.00000000
转化为十进制后为:
16 . 73 . 128 . 0
4、可知我们内部网可用的IP地址为:
00010000.01001001.10000000.00000000 到
00010000.01001001.11111111.11111111
5、转化为十进制:
16.73.128.0 到 16.73.255.255
6、0和255通常作为网络的内部特殊用途。通常不使用。
7、于是最后的结果如下:我们单位所有可用的IP地址为:
192.168.128.1-192.168.128.254
192.168.129.1-192.168.129.254
. . . . . . . . . . . . .
192.168.142.1-192.168.142.254
192.168.143.1-192.168.143.254
. . . . . . . . . . . . .
192.168.254.1-192.168.254.254
192.168.255.1-192.168.255.254
8、总数为(255-128+1)*(254-1+1) =128 * 254 = 32512
FAINT!!!!@#!@把我们公司都买了还买不了这么多的机器呢!?
¥!?#
9、看看的结果是否正确
(1)、设定IP地址为192.168.128.1
Ping 192.168.129.233通过测试
访http://192.168.129.233可以显示出主页
(2)、设定IP地址为192.168.255.254
Ping 192.168.129.233通过测试
访http://192.168.129.233可以显示出主页
10、结论
以上证明我们的结论是对的。
现在你就可以看你的子网中能有多少台机器了
255.255.255.128
分解:11111111.11111111.11111111.1000000
所以你的内部网络的ip地址只能是
xxxxxxxx.xxxxxxxx.xxxxxxxx.0???????
到xxxxxxxx.xxxxxxxx.xxxxxxxx.01111111
E. 什么是端口复用技术
端口复用技术
二)防火墙渗透
以上我们简单的介绍了防火墙的原理,分类,优缺点等。下面,我们将对防火墙的渗透技术做一下简单的介绍。
精心配置过的防火墙固然将让绝大多数crackers挡在外围,掌握网络控制的主动权,但是,防火墙并不是万能的,我们也在上一节的内容中简单的讲了防火墙的缺点。没有任何一样网络产品可以说是绝对安全的。绿盟的san的一篇的文章介绍了渗透防火墙的shellcode,有兴趣的朋友可以参考一下:http://www.winnerinfo.net/infoview.asp?Kind=145&ID=529 ,我在这里想再提起“通道技术”。
说到通道技术,我想再提一下“端口复用”,很多朋友以为通道技术就是端口复用技术。那么,错了,端口复用是指一个端口上建立了多个连接,而不是在一个端口上面开放了多个服务而互不干扰。假如你想在已经开放了WWW服务的主机上,在80端口再添加一项服务,只有2种可能:1.添加服务失败 2.WWW服务出错。那么什么是通道呢?这里所谓的通道,是指一种绕过防火墙端口屏蔽的通讯方式。防火墙两端的数据包封装在防火墙所允许通过的数据包类型或是端口上,然后穿过防火墙与处在防火墙后面的主机通讯,当封装的数据包到达目的地时,再将数据包还原,并将还原后的数据包交送到相应的服务上,是在一个端口上面开放了多个服务而互不干扰的。
为了通信,不论是什么防火墙,都不可能把所有的服务,所有的端口都封闭。(如果有那样的防火墙,还不如拔网线来的直接,呵呵)大多数的防火墙或多或少都要开放一个端口或服务(比如HTTP),只要开放了端口和服务,就给了我们渗透的可能。HTTP是一种比较简单而常用的互交式协议,你给服务器发送一个请求,服务器就返回给你一个回应。几乎所有的主机都被允许发送HTTP请求。网络上HTTP协议使用的是如此广泛,这也决定了我们可以通过使用通道技术而轻松的通过防火墙或其他类似设备而将我们需要的数据发送至目标。一个很典型的例子就是http-tunnel.
在http-tunnel的官方网站http://www.http-tunnel.com上有这么一句话:“http-tunnel在HTTP请求中建立了一个双向的虚拟数据连接。HTTP请求可以经过代理而被发送,这就可以被那些处在限制了端口的防火墙背后的用户使用。如果通过HTTP代理的WWW浏览是被允许的,那么http-tunnel也就可以成立,也就是说,可以在防火墙外telnet或者PPP到防火墙的内部。”这样看来,攻击者可以使用这种技术来实现远程控制。我们来看看http-tunnel的设计思路:
A主机在防火墙的外面,没有做任何限制。B主机在防火墙内部,受到防火墙保护,防火墙配置的访问控制原则是只允许80端口的数据进出,但主机开放了telnet服务。现在假设需要从A系统Telnet到B系统上去,怎么办?使用正常的telnet肯定是不可能了,因为telnet使用的23端口被防火墙屏蔽,防火墙收到这个telnet的包后,发现不符合只允许80端口的数据通过的过滤原则,就丢弃了。但我们知道可用的有80端口,那么这个时候使用Httptunnel通道,就是一个好的办法,思路如下:
在A机器上运行tunnel的客户端,让它侦听本机的一个不被使用的任意指定端口(最好是1024以上65535以下),如,8888。同时将来自8888端口上的数据指引到B机的80端口上,因为是80端口,防火墙是允许通过的。然后在B机上起一个服务端,(在只有80端口对外开放的情况下,只能先得到一个WEBSHELL,想办法提升自己的权限,并运行服务端)同样挂接在80端口上,同时指引80端口的来自客户端的转发到本机的telnet服务端口23,这样就OK了。现在在A机上telnet本机端口8888,根据刚才的设置数据包会被转发到目标端口为80的B机,因为防火墙允许通过80端口的数据,因此数据包畅通的穿过防火墙,到达B机。此时B机在80端口侦听的进程收到来自A的数据包,会将数据包还原,再交还给telnet进程。当数据包需要由B到A返回时,将由80端口再回送,同样可以顺利的通过防火墙。
上述功能似乎用端口映射也能做的到,把A主机上的23端口重定向到80端口,再把B主机上的80端口重定向到23端口就行了。但如果B主机已经开启了WWW服务了呢?要使用上述功能,使用端口映射必须牺牲B主机的80端口,这是得不偿失的。试想在一次渗透防火墙的对某台主机的攻击中,把别人本来已经开启的WWW服务DOWN了,你还能在这台主机上呆多久?但是,使用http-tunnel就可以完美实现,即使B主机已经开放80,提供WWW,我们也照样可以发送telnet到其80端口上,享受到“正版”的telnet服务。
对于通道技术,我们的解决方案是采用应用层的数据包检测技术,因为在正常的HTTP请求中,GET、POST等行为是必不可少的,如果来自一个连接的HTTP请求中,总是没有GET、POST,那么这个连接肯定有问题。从而终止此连接。现在已经有公司的IDS产品能够查出隐藏在80中的tunnel,但是这些IDS产品的费用恐怕也不是中小型企业能承受的了的。
对于防火墙的渗透,还有一些方法,比如找防火墙本身的设计缺陷等等,但那些难度太大。恐怕不是我们应该考虑的了。
访问控制列表介绍
访问控制列表(ACL)是应用在路由器接口的指令列表。这些指令列表用来告诉路由器哪能些数据包可以收、哪能数据包需要拒绝。至于数据包是被接收还是拒绝,可以由类似于源地址、目的地址、端口号等的特定指示条件来决定。访问控制列表从概念上来讲并不复杂,复杂
F. 什么是ATM网络
一、 中国公用多媒体ATM宽带网(CHINAATM)是中国电信投资建设并经营管理的以异步转移模式(ATM)技术为基础的,向社会提供超高速综合信息传送服务的全国性网络。
ATM是"异步转移模式"的英文缩写。所谓"异步转移模式",是一种采用统计时分复用技术"面向分组"的传送模式;在ATM中,信息流被组织成固定尺寸的块(称为"信元")进行传送,信元长度为53字节;信元的传送是"面向连接"的,只有在已经建立好的虚连接("虚电路")上才能接收和发送信元。
二、 ATM网的优点
超高速的通信能力
ATM交换机采用硬件交换,是区分传统的IP网和分组交换网的重要特点。由于采用了定长的信元作为交换单元,使得硬件高速交换得以实现。目前ATM技术提供给用户可选择的通信速率范围从数百K比特每秒到高达2.5G比特每秒,并且正在随着技术进步而发展。
G. soc的片上系统
System on Chip,简称Soc,也即片上系统。从狭义角度讲,它是信息系统核心的芯片集成,是将系统关键部件集成在一块芯片上;从广义角度讲, SoC是一个微小型系统,如果说中央处理器(CPU)是大脑,那么SoC就是包括大脑、心脏、眼睛和手的系统。国内外学术界一般倾向将SoC定义为将微处理器、模拟IP核、数字IP核和存储器(或片外存储控制接口)集成在单一芯片上,它通常是客户定制的,或是面向特定用途的标准产品。
SoC定义的基本内容主要在两方面:其一是它的构成,其二是它形成过程。系统级芯片的构成可以是系统级芯片控制逻辑模块、微处理器/微控制器CPU 内核模块、数字信号处理器DSP模块、嵌入的存储器模块、和外部进行通讯的接口模块、含有ADC /DAC 的模拟前端模块、电源提供和功耗管理模块,对于一个无线SoC还有射频前端模块、用户定义逻辑(它可以由FPGA 或ASIC实现)以及微电子机械模块,更重要的是一个SoC 芯片内嵌有基本软件(RDOS或COS以及其他应用软件)模块或可载入的用户软件等。系统级芯片形成或产生过程包含以下三个方面:
1) 基于单片集成系统的软硬件协同设计和验证;
2) 再利用逻辑面积技术使用和产能占有比例有效提高即开发和研究IP核生成及复用技术,特别是大容量的存储模块嵌入的重复应用等;
3) 超深亚微米(VDSM) 、纳米集成电路的设计理论和技术。
SoC设计的关键技术
SoC关键技术主要包括总线架构技术、IP核可复用技术、软硬件协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术,
并且包含做嵌入式软件移植、开发研究,是一门跨学科的新兴研究领域 SoC是System on Chip的缩写,直译是“芯片级系统”,通常简称“片上系统”。因为涉及到“Chip”,SoC身上也会体现出“集成电路”与“芯片”之间的联系和区别,其相关内容包括集成电路的设计、系统集成、芯片设计、生产、封装、测试等等。跟“芯片”的定义类似,SoC更强调的是一个整体,在集成电路领域,给它的定义为:由多个具有特定功能的集成电路组合在一个芯片上形成的系统或产品,其中包含完整的硬件系统及其承载的嵌入式软件。
这意味着,在单个芯片上,就能完成一个电子系统的功能,而这个系统在以前往往需要一个或多个电路板,以及板上的各种电子器件、芯片和互连线共同配合来实现。前面我们说集成电路的时候提到过楼房对平房的集成,而SoC可以看作是城镇对楼房的集成;宾馆、饭店、商场、超市、医院、学校、汽车站和大量的住宅,集中在一起,构成了一个小镇的功能,满足人们吃住行的基本需求。目前SoC更多的是对处理器(包括CPU、DSP)、存储器、各种接口控制模块、各种互联总线的集成,其典型代表为手机芯片(参见术语“终端芯片”的介绍)。目前SoC还达不到单芯片实现一个传统的电子产品的程度,可以说现在SoC只是实现了一个小镇的功能,还不能实现一个城市的功能。
SoC有两个显着的特点:一是硬件规模庞大,通常基于IP设计模式;二是软件比重大,需要进行软硬件协同设计。城市相比农村的优势很明显:配套齐全、交通便利、效率高。SoC也有类似特点:在单个芯片上集成了更多配套的电路,节省了集成电路的面积,也就节省了成本,相当于城市的能源利用率提高了;片上互联相当于城市的快速道路,高速、低耗,原来分布在电路板上的各器件之间的信息传输,集中到同一个芯片中,相当于本来要坐长途汽车才能到达的地方,现在已经挪到城里来了,坐一趟地铁或BRT就到了,这样明显速度快了很多;城市的第三产业发达,更具有竞争力,而SoC上的软件则相当于城市的服务业务,不单硬件好,软件也要好;同样一套硬件,今天可以用来做某件事,明天又可以用来做另一件事,类似于城市中整个社会的资源配置和调度、利用率方面的提高。可见SoC在性能、成本、功耗、可靠性,以及生命周期与适用范围各方面都有明显的优势,因此它是集成电路设计发展的必然趋势。目前在性能和功耗敏感的终端芯片领域,SoC已占据主导地位;而且其应用正在扩展到更广的领域。单芯片实现完整的电子系统,是IC 产业未来的发展方向。 1) 安全对象管理
2) 脆弱性管理
3) 风险管理
4) 事件管理
5) 网络管理
6) 安全预警与告警管理
7) 安全策略管理
8) 工单管理
9) 知识库管路
10) 专家辅助决策管理
11) 报表管理
12) 分级管理
系统可以分为三大组件:服务器(Server)、代理(Agent)和数据库(DataBase)。代理(Agent)负责在网络中采集全网安全事件,预处理(对原始安全事件进行收集、过滤、归并等操作)后发送给服务器(Server);服务器负责对预处理后的安全事件进行集中分析、响应、可视化输出以及做出专家建议;数据库则负责集中存储预处理后的安全事件。 集成电路的发展已有40年的历史,它一直遵循摩尔所指示的规律推进,现已进入深亚微米阶段。由于信息市场的需求和微电子自身的发展,引发了以微细加工(集成电路特征尺寸不断缩小)为主要特征的多种工艺集成技术和面向应用的系统级芯片的发展。随着半导体产业进入超深亚微米乃至纳米加工时代,在单一集成电路芯片上就可以实现一个复杂的电子系统,诸如手机芯片、数字电视芯片、DVD 芯片等。在未来几年内,上亿个晶体管、几千万个逻辑门都可望在单一芯片上实现。 SoC (System - on - Chip)设计技术始于20世纪90年代中期,随着半导体工艺技术的发展,IC设计者能够将愈来愈复杂的功能集成到单硅片上, SoC正是在集成电路( IC)向集成系统( IS)转变的大方向下产生的。1994年Motorola发布的FlexCore系统(用来制作基于68000和PowerPC的定制微处理器)和1995年LSILogic公司为Sony公司设计的SoC,可能是基于IP( IntellectualProperty)核完成SoC设计的最早报导。由于SoC可以充分利用已有的设计积累,显着地提高了ASIC的设计能力,因此发展非常迅速,引起了工业界和学术界的关注。
SOC是集成电路发展的必然趋势,是技术发展的必然,也是IC 产业未来的发展。 半导体工艺技术的系统集成
软件系统和硬件系统的集成 降低耗电量
减少体积
增加系统功能
提高速度
节省成本 系统功能集成是SoC的核心技术
在传统的应用电子系统设计中,需要根据设计要求的功能模块对整个系统进行综合,即根据设计要求的功能,寻找相应的集成电路,再根据设计要求的技术指标设计所选电路的连接形式和参数。这种设计的结果是一个以功能集成电路为基础,器件分布式的应用电子系统结构。设计结果能否满足设计要求不仅取决于电路芯片的技术参数,而且与整个系统PCB版图的电磁兼容特性有关。同时,对于需要实现数字化的系统,往往还需要有单片机等参与,所以还必须考虑分布式系统对电路固件特性的影响。很明显,传统应用电子系统的实现采用的是分布功能综合技术。
对于SoC来说,应用电子系统的设计也是根据功能和参数要求设计系统,但与传统方法有着本质的差别。SoC不是以功能电路为基础的分布式系统综合技术。而是以功能IP为基础的系统固件和电路综合技术。首先,功能的实现不再针对功能电路进行综合,而是针对系统整体固件实现进行电路综合,也就是利用IP技术对系统整体进行电路结合。其次,电路设计的最终结果与IP功能模块和固件特性有关,而与PCB板上电路分块的方式和连线技术基本无关。因此,使设计结果的电磁兼容特性得到极大提高。换句话说,就是所设计的结果十分接近理想设计目标。
SoC设计的关键技术主要包括总线架构技术、IP核可复用技术、软硬件协同设计技术、SoC验证技术、可测性设计技术、低功耗设计技术、超深亚微米电路实现技术等,此外还要做嵌入式软件移植、开发研究,是一门跨学科的新兴研究领域。 固件集成是SoC的基础设计思想
在传统分布式综合设计技术中,系统的固件特性往往难以达到最优,原因是所使用的是分布式功能综合技术。一般情况下,功能集成电路为了满足尽可能多的使用面,必须考虑两个设计目标:一个是能满足多种应用领域的功能控制要求目标;另一个是要考虑满足较大范围应用功能和技术指标。因此,功能集成电路(也就是定制式集成电路)必须在I/O和控制方面附加若干电路,以使一般用户能得到尽可能多的开发性能。但是,定制式电路设计的应用电子系统不易达到最佳,特别是固件特性更是具有相当大的分散性。
对于SoC来说,从SoC的核心技术可以看出,使用SoC技术设计应用电子系统的基本设计思想就是实现全系统的固件集成。用户只须根据需要选择并改进各部分模块和嵌入结构,就能实现充分优化的固件特性,而不必花时间熟悉定制电路的开发技术。固件基础的突发优点就是系统能更接近理想系统,更容易实现设计要求。 嵌入式系统是SoC的基本结构
在使用SoC技术设计的应用电子系统中,可以十分方便地实现嵌入式结构。各种嵌入结构的实现十分简单,只要根据系统需要选择相应的内核,再根据设计要求选择之相配合的IP模块,就可以完成整个系统硬件结构。尤其是采用智能化电路综合技术时,可以更充分地实现整个系统的固件特性,使系统更加接近理想设计要求。必须指出,SoC的这种嵌入式结构可以大大地缩短应用系统设计开发周期。 IP是SoC的设计基础
传统应用电子设计工程师面对的是各种定制式集成电路,而使用SoC技术的电子系统设计工程师所面对的是一个巨大的IP库,所有设计工作都是以IP模块为基础。SoC技术使应用电子系统设计工程师变成了一个面向应用的电子器件设计工程师西叉欧。由此可见,SoC是以IP模块为基础的设计技术,IP是SoC应用的基础。 SoC技术中的不同阶段
用SoC技术设计应用电子系统的几个阶段如图1所示。在功能设计阶段,设计者必须充分考虑系统的固件特性,并利用固件特性进行综合功能设计。当功能设计完成后,就可以进入IP综合阶段。IP综合阶段的任务利用强大的IP库实现系统的功能IP结合结束后,首先进行功能仿真,以检查是否实现了系统的设计功能要求。功能仿真通过后,就是电路仿真,目的是检查IP模块组成的电路能否实现设计功能并达到相应的设计技术指标。设计的最后阶段是对制造好的SoC产品进行相应的测试,以便调整各种技术参数,确定应用参数。 1、设计重用技术
数百万门规模的系统级芯片设计,不能一切从头开始,要将设计建立在较高的层次上。需要更多地采用IP复用技术,只有这样,才能较快地完成设计,保证设计成功,得到价格低的 SoC,满足市场需求。
设计再利用是建立在芯核(CORE)基础上的,它是将己经验证的各种超级宏单元模块电路制成芯核,以便以后的设计利用。芯核通常分为三种,一种称为硬核,具有和特定工艺相连系的物理版图,己被投片测试验证。可被新设计作为特定的功能模块直接调用。第二种是软核,是用硬件描述语言或C语言写成,用于功能仿真。第三种是固核(firm core),是在软核的基础上开发的,是一种可综合的并带有布局规划的软核。设计时候复用方法在很大程度上要依靠固核,将RTL级描述结合具体标准单元库进行逻辑综合优化,形成门级网表,再通过布局布线工具最终形成设计所需的硬核。这种软的RTL综合方法提供一些设计灵活性,可以结合具体应用,适当修改描述,并重新验证,满足具体应用要求。另外随着工艺技术的发展,也可利用新的库重新综合优化、布局布线、重新验证以获得新工艺条件下的硬核。用这种方法实现设计再利用和传统的模块设计方法相比其效率可以提高2-3倍,因此,0.35um工艺以前的设计再利用多用这种RTL软核
2、综合方法实现
随着工艺技术的发展,深亚微米(DSM)使系统级芯片更大更复杂。这种综合方法将遇到新的问题,因为随着工艺向0.18um或更小尺寸发展,需要精确处理的不是门延迟而是互连线延迟。再加之数百兆的时钟频率,信号间时序关系十分严格,因此很难用软的RTL综合方法达到设计再利用的目的。
建立在芯核基础上的系统级芯片设计,使设计方法从电路设计转向系统设计,设计重心将从今天的逻辑综合、门级布局布线、后模拟转向系统级模拟,软硬件联合仿真,以及若干个芯核组合在一起的物理设计。迫使设计业向两极分化,一是转向系统,利用IP设计高性能高复杂的专用系统。另一方面是设计模 M下的芯核,步入物理层设计,使模樱托竞说男 能更好并可预测。
3、低功耗的设计技术
系统级芯片因为百万门以上的集成度和数百兆时钟频率下工作,将有数十瓦乃至上百瓦的功耗。巨大的功耗给使用封装以及可靠性方面都带来问题,因此降低功耗的设计是系统级芯片设计的必然要求。设计中应从多方面着手降低芯片功耗。 2014年8月20日,国产彩电巨头创维在京召开以“见证奇G的时刻”为主题的新品发布会,高调发布全球首款GLED电视。此次发布会堪称重量级,不仅创维集团高层领导悉数出席,更是邀请到工信部刁司长,以及国内160余家主流媒体及行业专家。
会上工信部刁司长发表了讲话,讲话内容表示:创维集团与华为海思以项目为纽带结成了紧密的合作伙伴,并成功研制我国首款自主研发并成功实现量产的高端智能电视芯片,芯片性能优于市场同类芯片,对改变我国彩电行业缺芯少屏的局面,提升电子信息产业核心竞争力有着重要的意义!
2014年8月21日《新闻联播》报道:“中国本土企业创维联合海思自主研发的智能电视SOC芯片研制成功并首次实现量产。 搭载这款芯片的创维GLED新品的系统速度、解码能力等智能电视核心性能居行业领先水平。”同时,创维此“智能电视SOC芯片研发及产业化”项目已经申报“核心电子器件、高端通用芯片及基础软件产品”国家科技重大专项(简称“核高基重大专项”)课题,创维将与海思在芯片定义、芯片验证、芯片的整机研发和产业化等核心领域展开深度合作。 首批搭载此芯片的创维G8200系列新品4000台已于2014年8月20日上市。
H. IP核是什么
IP核(Intellectual Property core)是一段具有特定电路功能的硬件描述语言程序,该程序与集成电路工艺无关,可以移植到不同的半导体工艺中去生产集成电路芯片。
概述编辑
利用IP核设计电子系统,引用方便,修改基本元件的功能容易。具有复杂功能和商业价值的IP核一般具有知识产权,尽管IP核的市场活动还不规范,但是仍有许多集成电路设计公司从事IP核的设计、开发和营销工作。IP核有两种,与工艺无关的VHDL程序称为软核;具有特定电路功能的集成电路版图称为硬核。硬核一般不允许更改,利用硬核进行集成电路设计难度大,但是容易成功流片。
2基本分类编辑
IP内核的三种类型
IP内核可以在不同的硬件描述级实现,由此产生了三类IP内核:软核、固核和硬核。这种分类主要依据产品交付的方式,而这三种IP内核实现方法也各具特色。
软核功能
软核是用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。软IP通常是以硬件描述语言HDL源文件的形式出现,应用开发过程与普通的HDL设计也十分相似,只是所需的开发硬软件环境比较昂贵。软IP的设计周期短,设计投入少。由于不涉及物理实现,为后续设计留有很大的发挥空间,增大了IP的灵活性和适应性。其主要缺点是在一定程度上使后续工序无法适应整体设计,从而需要一定程度的软IP修正,在性能上也不可能获得全面的优化。由于软核是以源代码的形式提供,尽管源代码可以采用加密方法,但其知识产权保护问题不容忽视。
硬核功能
硬核提供设计阶段最终阶段产品:掩模。以经过完全的布局布线的网表形式提供,这种硬核既具有可预见性,同时还可以针对特定工艺或购买商进行功耗和尺寸上的优化。尽管硬核由于缺乏灵活性而可移植性差,但由于无须提供寄存器转移级(RTL)文件,因而更易于实现IP保护。
固核功能
固核则是软核和硬核的折衷。大多数应用于FPGA的IP内核均为软核,软核有助于用户调节参数并增强可复用性。软核通常以加密形式提供,这样实际的 RTL对用户是不可见的,但布局和布线灵活。在这些加密的软核中,如果对内核进行了参数化,那么用户就可通过头文件或图形用户接口(GUI)方便地对参数进行操作。对于那些对时序要求严格的内核(如PCI接口内核),可预布线特定信号或分配特定的布线资源,以满足时序要求。这些内核可归类为固核,由于内核是预先设计的代码模块,因此这有可能影响包含该内核的整体设计。由于内核的建立(setup)、保持时间和握手信号都可能是固定的,因此其它电路的设计时都必须考虑与该内核进行正确地接口。如果内核具有固定布局或部分固定的布局,那么这还将影响其它电路的布局。
介绍
IP(知识产权)核将一些在数字电路中常用,但比较复杂的功能块,如FIR滤波器、SDRAM控制器、PCI接口等设计成可修改参数的模块。IP核的重用是设计人员赢得迅速上市时间的主要策略。随着CPLD/FPGA的规模越来越大,设计越来越复杂(IC的复杂度以每年55%的速率递增,而设计能力每年仅提高21%),设计者的主要任务是在规定的时间周期内完成复杂的设计。调用IP核能避免重复劳动,大大减轻工程师的负担,因此使用IP核是一个发展趋势。
分类
IP核包括硬IP与软IP。可配置IP是参数化后的可重定目标IP,其优点是可以对功能加以裁剪,以符合特定的应用。这些参数包括总线宽度、存储器容量、使能或禁止功能块。
软IP是以综合形式交付的,因而必须在目标工艺中实现,并由系统设计者验证。其优点是源代码灵活,可重定目标于多种制作工艺,在新功能级中重新配置。
不过大多数库是收费的,但也可以从网上下载一些免费的IP核。
3技术应用编辑
数字到模拟转换器(DACs)将一个二进制数转换为与之对应的电压值,常用的D/A转换器都是由电阻或电容加权网络、受码元控制的开关和基准电压或电流源组成。当D/A转换器需要转换的信号每次取样字长很长时,对这些电路的精度要求很高,并且还必须在整个温度范围和整个使用寿命期间内保持电路参数的稳定。例如,一个16位的D/A转换器,其MSB的精度必须在1/2 16以内,这是很困难的。所以,需寻求一种中保持高分辨率又可降低对电路精度和稳定度要求的方法。
可综合的Delta-Sigma DAC(术语Delta-Sigma分别指算术差与和,即Δ-∑DAC),是Xilinx公司提供的免费IP核,可从网上下载得到。
4数字技术编辑
Delta-Sigma DAC使用数字技术,因而它不受温度的影响,并且能在一片可编程逻辑器件中实现。避免在D/A转换器中使用匹配电阻,不仅能更便宜,而且,其转换是线性的。Delta-Sigma DAC实际上是高速单个位的DAC,用数字反馈技术,在输出端产生一串脉冲。脉冲串中信号为高电平的时间部分与二进制输入成比例,当这个脉冲串通过一个模拟低通滤波器后就得到一个模拟输出信号。
图1
是一个典型的可编程逻辑器件实现的DAC的顶层电路图,输入信号有复位信号、时钟信号以及二进制数据总线。输出DACoutDrvr驱动一个外部的低通滤波器Vout能从0V~Vcco。这里Vcco是FPGA I/O块的供电电压。输入/输出详细说明如表1所列。
表1 输入输出描述表
信号 方向 描 述
DACOUT 输出 驱动外部低通滤波器的脉冲串(通过一个输出驱动器)
DACIN 输入 数字输入总线,值必须设置成钟的正沿
clk 输入 正沿有效
Reset 输入 复位信号初始化SigmaLatch和输出D触发器
DAC的二进制输入是一个无符号数。“0”代表最低电压,输出的模拟电压也只有正的。“0”输入产生0V输出,输入端全“1”,则输出近似达到Vcco。
图2
是Delta-Sigma DAC的原理框图,二进制输入的位宽是可变的。为简单起见,电路原理图描述了一个8位二进制输入的DAC。
在这个器件中,二进制加法器用来产生和,也用来产生差。尽管Delta Adder的输入是无符号数,两个加法器的输出却都是有符号数。Delta Adder计算DAC输入和当前DAC输出的差,并用一个二进制数表示。因为DAC的输出是一个单个的位,因此它不是1就是0。如图2所示,当输入加上由Sigma Latch的输出的两个拷贝与0构成的10位数,就产生差值,这也补偿了DACIN是无符号数的事实。Sigma Adder将它原来的输出(保存在Sigma Latch中)与当前的Delta Adder的输出相加。
图1中输出电压与输入电压的关系为
VOUT=(DACIN/(2MSBI+1))×VCCO
式中单位为V。
例如,对于一个8位DAC(MSBI=7),最后的输出是这样:DACIN输入是0,则输出也是0;DACIN输入是十六进制数FF时,输出值为最大(255/256)×Vcco。
阻容低通滤波器适合多数应用需要,一个简单的阻容低通滤波器就能工作得很好。
Vs的定义是:DAC输入增加或减少时,在Vout端产生变化的绝对值。对一个8位DAC,Vs等于(1/256)×Vcco。
Vout能够产生在0V~Vcco之间可变的电压,具体的值由DACIN的位宽和输入的数值决定。
Delta-Sigma DAC适合需要相对高精度的低频应用。在这种应用中,电压不会很快地变化,因此,RC的时间常数可以很大,以减小噪声。
这种DAC最广泛的应用就是产生通常直流电压。这包括电压控制振荡器、电压控制运算放大器、I/O参数电压、可编程电压源、波形发生器(正弦、三角等)、A/D转换中的参考电压等。
Delta-Sigma DAC是一个例子,说明高速可编程逻辑器件能用于混合信号系统,以减少元件的数量。可编程逻辑器件的速度和密度使它们成为模拟信号产生和处理方面理想的元件。
5语言程序编辑
用VHDL语言编写的程序
library ieee;
use ieeestd_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dac_ds is
port(reset :in std_logic;
clk :in std_logic;
din :in std_logic_vector(7 downto 0);--Signed integer
dout :out std_logic;
);
end dac_ds;
architecture arch_dac_ds of dac_ds is
signal error :std_logic_vector(9 downto 0);--Error accumulator is 2 bits larger
constant zeros:std_logic_vector(7 downto 0):=(others=>'0');
begin
process(reset,clk,din)
variable val :std_logic_vector(9 downto 0);
begin
if reset='1'then
error<=(others=>'0');
dout<='0';
elsif clk'event and clk='1' then
--val:=din+error;din is sign extended to nbits+2
val:=(din(din'high)&din(din'high)&din)+error;
if val(val'high)='0'then
dout<='1';
error<=val+("11"& zeros);
else
dout<='0';
error<=val+("01"&zeros);
end if;
end if;
end process;
end arch_dac_ds;
6选择和配置编辑
选择MAX7000S系列可编程逻辑器件,编译后由MAX+PLUS II软件自动配置进EMP7032SLC44芯片,将生成的目标文件通过编程电缆对器件进行编程。
将该IP核实现的D/A转换器用于新型智能电阻炉温度控制仪中,因为调节炉温的信号不要求变化很快,因此DAC的输入二进制信号为缓变信号。对于这种低频应用,可以将RC时间常数取得较大,以减小噪声。这样,可综合的VHDL语言Delta-Sigma DAC模块配置进EMP7032芯片后,达到了预期的效果。
7注意事项编辑
知识产权(IP)的再使用是设计组赢得迅速上市时间的主要策略,因为留给设计者完成诸如蜂窝电话和Internet路由器等热门IC设计的周期只有3个月。设计者还需面对这样一个严酷的现实,即IC的复杂度以每年55%的速率递增,而设计能力每年仅提高21%。
为系统设计者专门制作的再使用IP弥补了这方面的不足。再使用既为IP建立者,也为系统设计者提供一种共享最佳IP核和主导模块的方法。系统设计者的主要任务是在规定的周期时间内研发出复杂的设计。这只有采用新设计方法和完全不同的芯片设计理念才能完成。IP再使用已经成为系统设计方法的关键所在。
标准选择
标准是再使用的基础。在设计一个系统时,可供工程人员考虑的工业标准很多,比如半导体再使用标准(SRS),它是对各种工业再使用创议标准的补充,提出这些创议标准的组织包括“虚插座接口联盟VSIA”等。再使用标准为IP设计流程中的各阶段提供规则、指南和接口方法。它是高效设计方法的一个里程碑,让可再用IP快捷地、即插即用地集成到系统解决方案成为可能。
再使用标准通常涉及到许多方面,如系统级设计、结构、实现、验证以及文件编制和可交付清单等与IP有关的事项。例如,结构分类目录解决片上或片外的接口。实现分类目录通过HDL代码标准、物理表示、单元库以及模拟子单元集中解决如何建立IP的问题。功能验证及可测试设计(DFT)标准则包含在验证分类目录中。
选择
根据IP使用的划分,IP建立者可按下列三种形式设计IP:可再用、可重定目标以及可配置。可再用IP是着眼于按各种再使用标准定义的格式和快速集成的要求而建立的,便于移植,更重要的是有效集成。可重定目标IP是在充分高的抽象级上设计的,因而可以方便地在各种工艺与结构之间转移。可配置IP是参数化后的可重定目标IP,其优点是可以对功能加以裁剪以符合特定的应用。这些参数包括总线宽度、存储器容量、使能或禁止功能块。硬IP与软IP的意图不同,因而对IP的开发和在这之后的IP的集成采用不同的方法。
硬IP
硬IP对功率、体积和性能进行了优化,并映射至特定的工艺。具体实例包括已完成布局布线的网表,以特定工艺库或全定制物理布图,或两者之组合。硬IP是特定工艺来实现的,通常用GDSII格式表示。硬IP可以再使用,且由于它已处于设计表示的最底层,因而最容易集成。硬IP已完全用目标工艺实现是按十分接近于标准单元库元件的形式交付的,故而允许设计者将IP快速地集成在衍生产品中。硬IP最大的优点是确保性能,如速度、功耗等。然而难以转移到新工艺或集成到新结构中,是不可重配置的。
软IP
软IP是以综合形式交付的,因而必须在目标工艺中实现,并由系统设计者验证。其优点是源代码的灵活性,它可重定目标于多种制作工艺,在新功能级中重新配置。
由于设计以高层次表示,因而软IP是可再用的,易于重定目标和重配置,然而预测软IP的时序、面积与功率诸方面的性能较困难。为了实现最高效率的再使用并减少集成时间,IP应从软件源代码开始;而为了确保性能,复杂IP应以硬IP的形式共享。
软插接
软插接是开发符合再使用标准IP的过程,它应成为建立新IP设计流程的组成部分。过程需要有关IP深层的知识,因此只有IP建立者最熟知IP块,有能力建立这些些概念,在时序分析时去除假通路,并最终确定结果的正确性。与DFT一样,软插接会修改现有的设计流程来适应再使用设计和生成附加可交付项,因此在设计流程中应及早考虑再使用事项。
提供获取
IP资源库为IP建立者和系统设计者提供共享和使用IP的基础设施。这个系统应让IP建立者和系统设计者共享硬和软两方面的可再用IP。资源库提供多场所的全方位访问,系统集成的全方位开发。它也是设计师搜索、选择、将再使用块集成到自己系统中的快捷而又简便的途径。
资源库基础设施还应开辟一个区域,让系统开发者提供反馈、出错报告、错误改正及资源库中任何有关IP块的注解。反馈信息块建立者对错误的修复与改进说明一起是块数据库列表的一部分。
8技术认证编辑
认证
认证能确定IP块是否符合相关的再使用标准。它提供一把表征块再使用质量的尺度,应在IP进入资源库前完成。由于IP建立者熟知IP,他应测试块概念间的一致性以及与工具、库以及硬件平台的兼容性。一个独立的认证小组通过对可交付性、再使用性以及出错历史记录的随机抽样,预测IP核的质量和可靠性,定出IP的分类等级。这个等级让设计者有一个总体概念,如IP符合标准的准确性有多好,再使用需多大的软插接工作量。
集成优化
对IP核的再使用,建立者需软插接IP、进行认证、将它存放在系统设计者能访问的资源库中。自动化工具提供多种手段,加速软插接和资源库的操作,认证和集成过程的部分自动化。工具制造商力争实现更多的自动化。在理想情况下,全部IP块可从资源库中按需供给。
周期加速
设计者几乎没有能力在三个月设计周期内开发出合乎规格的新产品。如果对每个产品族建立一个设计平台,设计组就能充分发挥平台的作用,开发出产品的衍生品种。一种有效的再使用方法应让可再使用IP的开发作为平台的一部分,并将IP块快速地集成到衍生品种。
基础设施
一旦IP开始普遍使用,提供该IP的支持是必要的。建立者继续拥有IP,因为支持它需要深层的知识。建立者负责IP的更新,将最新版本放置在资源库中。IP由为系统设计者服务的认证组重新认证。此外,建立者还应在系统设计者集成IP遇到困难时提供必需的支持。
这样可以么?